verilog计数器程序怎么写

1.用Verilog编一个计数器的程序

举个简单点的例子,如下。

设计一个4bit的计数器,在记到最大值时输出一个信号

module counter_16 ( input clk, input rst_n, input cnt_in ,output reg cnt_out );

reg [3:0] cnt;

always @ (posedge clk or negedge rst_n) begin

if (~rst_n) cnt <= 4'b0;

else if (cnt_in) cnt <= cnt +1'b1;

else cnt <= cnt;

end

always @ (posedge clk or negedge rst_n) begin

if (~rst_n) cnt_out <= 1'b0;

else if (cnt_in && cnt == 4'b1111) cnt_out <= 1'b1;

else cnt_out <= 1'b0;

end

endmodule

这实际上设计了一个16进制计数器其中的一位,你可以例化多个相同模块,将低位的cnt_out连接到高位的cnt_in,级联成一个任意位数的16进制计数器。

2.计数器的verilog HDL程序

原发布者:kiwiysh

用VerilogHDL设计计数器一、实验目的1.学习使用VerilogHDL语言,并学会使用进行QuartusⅡ软件编程和仿真;2.掌握数字电路的设计方法,熟悉设计过程及其步骤;3.培养学生的动手能力,能学以致用,为今后从事电子线路设计打下良好基础;4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理来分析实验现象;二、实验内容1.设计内容及要求1)利用VerilogHDL设计一个以自己学号后三位为模的计数器;2)编写源程序;3)给出仿真电路图和仿真波形图;2.需求分析:由于本人的学号后3位为212,所以应编一个以212为模的加法计数器。若采用同步清零的方法,则计数为0~211,化为二进制数即为000000000计到011010011。3.编写源代码:modulecount_212(out,data,load,reset,clk);output[8:0]out;input[8:0]data;inputload,reset,clk;reg[8:0]out;always@(posedgeclk)//clk上升沿触发beginif(!reset)out=9'h000;//同步清零,低电平有效elseif(load)out=data;//同步预置elseif(out>=211)out=9'h000;//计数最大值为211,超过清零elseout=out+1;//计数endendmodule程序说明:该计数器为一个9位计数器,计数范围0~211,具有同步同步置数和同步清零功能。时钟的上升沿有效,当clk信号的上升沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计数,计至211处同步清零。4.画出仿真电路图:图1为同步置数、同步清零加法计

3.用VERILOG语言编写一个计数器模型

以四位计数器为例给你写个。 我觉得你这题目有点问题,应该说电路中有个专用全局复位按键的,count_flag为计数使能,当为高电平时对输入时钟进行计数。

module COUNTER

(

//Input ports

SYSCLK,

RST_B,

COUNT_FLAG,

//Output ports

OVERFLOW,

DATA_OUT

);

//=============================================================

//Input and output declaration

//=============================================================

input SYSCLK;

input RST_B;

input COUNT_FLAG;

output OVERFLOW;

output [4:0] DATA_OUT;

//=============================================================

//Wire and reg declaration

//=============================================================

wire SYSCLK;

wire RST_B;

wire COUNT_FLAG;

reg OVERFLAG;

reg [3:0] DATA_OUT;

//=============================================================

//Wire and reg in the module

//=============================================================

reg [3:0] DATA_OUT_N; //The next value of DATA_OUT.

reg OVER_FLOW_N; //The next vlaue of OVER_FLOW.

parameter MAX_COUNT = 4'h8; //自己设置想计数到的最大值。

//=============================================================

//Logic

//=============================================================

always @ (posedge SYSCLK or negedge RST_B)

begin

if(!RST_B)

DATA_OUT

4.急求verilog 8进制计数器程序

//////////////////

//

//////////////////

module 8jinzhi (rst,clk,out);

//

input rst,clk;

output [2:0]out;

//

reg [2:0]out;

//

always @ (posedge clk or negedge rst )

if(!rst)

outelse

outendmodule

不知道要什么级别的。。。。这个行吧。。。用门电路也可以搭出来。三个D触发器或者JK触发器都可以

5.请哪位看看这个verilog程序,该怎样解释

out<=out<<1; // 将out左移一位并赋给out

out[0]<=~out[3]; //将out的最高位即第三位赋给out的最低位第0位

if(clr)

out<=4'h0;//置位

else

out<= out <<1;//左移一位,1st:0000;2nd:0010;3rd:0110

out[0]<=~out[3];//最高位赋给最低位;1st:0001;2nd:0011;3rd:0111

这个不是一个四位计数器吧

你可以仿真下,本来我是推算下,但是推算结果如上,怕出错,仿真下也是这个结果

1,3,7,15,14,12,8,0

6.用verilog写二进制计数器

302进制计数器,就是从0开始计数,计数到301后,再从0开始重新计数,就像十进制计数一样,从0开始计数,计数到9后回到0重新开始计数。

//---302位计数器,从0开始计数,最大值是100101101(=301);(9位)-----// module counter302(clk,rst,Q); input clk; input rst; output reg [8:0] Q; always @(posedge clk or negedge rst) begin if(!rst) begin Q <= 9'b000000000; //复位后输出Q赋值0; end else begin //时钟上升沿开始计数 if(Q==9'b100101101) begin Q <= 9'b000000000; //计数到最大值(301)后,回到0重新计数; end else begin Q <= Q+1; end end end endmodule //------------------------------------------------------------------------------//。

7.用Verilog语言怎么写一个60进制的计数器然后显示在数码管上

module xue3(iSW,oHEX3,oHEX2,oHEX1,oHEX0);

input [3:0] iSW;

output oHEX3,oHEX2,oHEX1,oHEX0;

//下面点亮四个七段数码管

bcd7seg digit0(iSW[3:0],oHEX0);

bcd7seg digit1(iSW[3:0],oHEX1);

bcd7seg digit2(iSW[3:0],oHEX2);

bcd7seg digit3(iSW[3:0],oHEX3);

endmodule

//下面是子模块。欢~~迎~~加fpga~qun~~37378637 /////////////

module bcd7seg(B,H);

input [3:0] B;

output reg H;

always @(B) begin

case (B) //选择输出数据,这里采用的是共阳极接法,要是共阴极各数按位全部取反即可。从最低位开始分别代表了七段中的abcdefg第8位是D.P段

4'h0: H = 8'hc0; //显示0

4'h1: H = 8'hf9; //显示1

4'h2: H = 8'ha4; //显示2

4'h3: H = 8'hb0; //显示3

4'h4: H = 8'h99; //显示4

4'h5: H = 8'h92; //显示5

4'h6: H = 8'h82; //显示6

4'h7: H = 8'hf8; //显示7

4'h8: H = 8'h80; //显示8

4'h9: H = 8'h90; //显示9

4'ha: H = 8'h88; //显示a

4'hb: H = 8'h83; //显示b

4'hc: H = 8'hc6; //显示c

4'hd: H = 8'ha1; //显示d

4'he: H = 8'h86; //显示e

4'hf: H = 8'h8e; //显示f

default: H =8'hff; //全灭

endcase

end

endmodule

verilog计数器程序怎么写

转载请注明出处育才学习网 » verilog计数器程序怎么写

知识

投标人实力怎么写

阅读(246)

本文主要为您介绍投标人实力怎么写,内容包括证明投标人实力、信誉及履行合同能力的相关资料是指哪些资料搜,投标人的综合实力信誉证明材料是什么,投标人基本情况要写些什么。投标人的综合实力信誉证明材料 指的是注册资金,以及相关行业的资

知识

人兽杂交英文怎么写

阅读(222)

本文主要为您介绍人兽杂交英文怎么写,内容包括人兽英文怎么写,人兽英语怎么写,人兽同体用英语怎么翻译。1.b i t c h 不能直接打出来 不然会被屏蔽 还有shawty 可以2.英文中表示娘们的词用Biatch来翻译太过激了.为了表示

知识

创新作文该怎么写

阅读(212)

本文主要为您介绍创新作文该怎么写,内容包括创新作文怎么写,创新作文怎么写,怎么写创新作文。创新作文十大标准 创新作文无论从题目、体裁、构思立意、思想观点还是语言审美方面都表现出独特的个性来,具体说有以下十点: (一)真实 真实

知识

饭店厨房盘点怎么写

阅读(242)

本文主要为您介绍饭店厨房盘点怎么写,内容包括餐厅厨房写什么8个字,饭店怎么做盘点,饭店怎么做盘点。饭店盘点步骤:一﹑ 盘点前期准备工作 1.0 制定盘点计划进度表 1.1 熟悉饭店现场情况,按部门制作资产存放地点表。1.2 根据采购合

知识

一朵雪莲怎么写

阅读(170)

本文主要为您介绍一朵雪莲怎么写,内容包括从巍峨峻拔的高原走来,我是冰上的一朵雪莲;下面怎么仿写(两句),仿照写句子从高原走来,我是冰山上的一朵雪莲;(写4句),一什么雪莲,填一量词。假如我是一朵雪莲花,我就要用自己柔弱的身躯去妆点冰山,为寒冷的

知识

写证明信要怎么写

阅读(193)

本文主要为您介绍写证明信要怎么写,内容包括证明信怎么写,证明书的格式是怎么写,证明格式范文。不论是哪种形式的证明信,其结构都大致相同,一般都有标题、称呼、正文、署名和日期等构成。(一)标题证明信的标题通常有以下两种方式构成:1.

知识

由字书法怎么写

阅读(209)

本文主要为您介绍由字书法怎么写,内容包括走字书法怎么写,"的"字行书怎么写,用书法怎么写成字。“走”字的楷书写法:

知识

烟好评怎么写

阅读(574)

本文主要为您介绍烟好评怎么写,内容包括淘宝好评怎么写100字,电子烟好评怎么写,200字以上,大漠孤烟直这首诗怎么写好评。当大家看到我的这一篇评价时,表示我对产品是认可的,尽管我此刻的评论是复制粘贴的。这一方面是为了肯定商家的服务,另一

知识

精神病证申请书怎么写

阅读(247)

本文主要为您介绍精神病证申请书怎么写,内容包括精神病残废证申请书怎么写,精神病监护人申请书怎么写,怎么向民政局申请精神病补住、申请怎么写。申 请 书 XX县XX镇XX村委:我叫XXX,性别,XXXX年XX月XX日出生,民族,现均住XX县XX镇XX村X组。因我们

知识

加盟怎么写经营范围

阅读(236)

本文主要为您介绍加盟怎么写经营范围,内容包括经营范围怎么写,营业执照经营范围怎么写,我的营业执照的经营范围怎么写。经营范围是指国家允许企业法人生产和经营的商品类别、品种及服务项目,反映企业法人业务活动的内容和生产经营方向,是企业

知识

每个星期二休息怎么写

阅读(266)

本文主要为您介绍每个星期二休息怎么写,内容包括作息时间计划表怎么写,发廊想每个星期二休息,要给顾客怎样一个理由,请问“我星期一或星期二休息”在日语里怎么说。原发布者:象棋小报学习计划和作息时间表时间6:457:00-7:258:00-12:2012:30-

知识

怎么写公司员工生日券的申请

阅读(245)

本文主要为您介绍怎么写公司员工生日券的申请,内容包括自己想写一篇公司给员工生日券的文章,员工生日礼券申请书怎么员工生日礼券申请书怎么写,我们有员工生日福利这样的申请怎么写。为弘扬公司企业文化,让每位员工感受到集体的温暖与关怀,库

知识

语文观课记录怎么写

阅读(224)

本文主要为您介绍语文观课记录怎么写,内容包括怎么写语文观课记录,怎么写语文观课记录,四年级语文听课记录怎么写。听课记录包括两个主要方面:一是教学实录,二是教学评点。(一)教学实录这一部分我们可以记录:听课时间、学科、班级、执教者、课题

知识

3楼英语怎么写

阅读(270)

本文主要为您介绍3楼英语怎么写,内容包括三楼的英语怎么写,3楼用英语怎么写,3楼用英语怎么写。一楼 ground floor ; first floor二楼 second floor三楼 second floor(英式);thir

知识

编程序英文单词怎么写

阅读(235)

本文主要为您介绍编程序英文单词怎么写,内容包括编程序的英语翻译编程序用英语怎么说,编程序常用英语单词是什么,编程序常用英语单词是什么。你好!编程序programming 英[ˈprəʊgræmɪŋ] 美[ˈproʊgræmɪŋ] n. 编程; 规划,设计; v. 训练(

知识

安卓手机怎么写程序

阅读(165)

本文主要为您介绍安卓手机怎么写程序,内容包括手机怎么写软件,我的手机是安卓系统的,如果我想自己编写程序自己安装在手机里面,,怎样编写安卓程序。1. 一般的说像摩托罗拉,诺基亚,索爱,以及三星部分机型可以通过刷机平台用数据线就可以给手机

知识

程序设计报告怎么写

阅读(930)

本文主要为您介绍程序设计报告怎么写,内容包括C语言程序设计实验报告怎么写,程序开发报告怎么写,C语言程序设计课程设计报告怎么写啊有谁有样本吗谢啦搜狗。通过本试验初步培养计算机逻辑解题能力。熟练掌握赋值语句和if语句的应用;掌握swit

知识

怎么写支付程序

阅读(187)

本文主要为您介绍怎么写支付程序,内容包括财务要写一个付款流程怎么写,网上买东西的支付流程是怎么样的,详述利用支付宝进行支付的流程。网上买东西的流程 首先,注册会员,这个不用教吧,有邮箱就行咯,然后去邮箱激活帐号 第二,去支付宝管理帐户,你

知识

c怎么写服务程序

阅读(174)

本文主要为您介绍c怎么写服务程序,内容包括用C语言编写Windows服务程序的五个步骤大神们帮帮忙,用C语言编写Windows服务程序的五个步骤,怎么用C#写一个后台服务程序。Windows 服务被设计用于需要在后台运行的应用程序以及实现没有用户交互

知识

30计数器怎么写

阅读(199)

本文主要为您介绍30计数器怎么写,内容包括需要计数器计数到20万次这个该怎么写啊,一年级写数十九和三十和二十写作怎么做,单片机中计数器怎么做。外加显示的,延时查表自己写 ORG 0000H MAIN:MOV 30H,#00;(给 30H_40H付值) MOV 31H,#00 M

知识

批处理怎么写程序

阅读(210)

本文主要为您介绍批处理怎么写程序,内容包括想做一个批处理文件,如何写程序,BAT批处理对拍程序怎么写,用批处理怎么写程序。@echo offren %1 tnsnames.ora>nul 2>nulif "%~nx1" == "tnsnames.ora" (

知识

怎么用java写exe程序

阅读(250)

本文主要为您介绍怎么用java写exe程序,内容包括怎样用java编写一个exe的应用程序,Java怎样写.exe的程序,怎么将java写的程序编译成为.exe文件。安装上exe4j的工具,我们生成.exe文件主要就是靠这个工具打开后,这个界面不用管它,直接next在这里,

[/e:loop]