verilog测试程序怎么写

1.怎么写verilog 测试程序

给你写一个例子,下面是一个设计文件和一个对应的测试程序,希望能起到抛砖引玉的和用:

/*

File Name : test.v

Author : t()

)

endmodule

module counter(

input wire clk,

input wire rst_n,

output reg [3:0]cnt

);

always@(posedge clk or negedge rst_n)

if(~rst_n)

cnt<='d0;

else

cnt<=cnt+4'd1;

endmodule

4.用verilog编写源代码和测试程序

下面的代码我已经用modelsim仿真过了,没有问题。

module count(out,clk,rst); //源程序

input clk,rst;

output[3:0] out;

reg[3:0] out;

initial out=4'd0;

always @(posedge clk or negedge rst)

begin

if(!rst) out=4'd0;

else

begin

out=out+4'd1;

if(out==4'd1||out==4'd6||out==4'd8) out=out+4'd1;

if(out==4'd5) out=out+4'd2;

end

end

endmodule

`timescale 1ns/1ns //测试程序

`include "count.v"

module count_tp;

reg clk,rst;

wire[3:0] out;

parameter DELY=100;

count mycount(out,clk,rst);

always #(DELY/2) clk=~clk;

initial

begin

clk=0;rst=1;

#(DELY*5) rst=0;

#DELY rst=1;

#(DELY*20) $finish;

end

initial $monitor($time,,,"clk=%d rst=%d out=%d",clk,rst,out);

endmodule

5.Verilog 测试文件怎么写

module test_freq;

// Inputs

reg [3:0] a,b;

reg ci;

// Outputs

wire [3:0] y;

wire co;

// Instantiate the Unit Under Test (UUT)

add4bit uut (

.a(a),

.b(b),

.ci(ci),

.co(co),

.y(y)

);

initial begin

// Initialize Inputs

a= 0;

b= 0;

ci= 0;

// Wait 100 ns for global reset to finish

#100;

a = 3;

b=4;

ci =0;

end

endmodule

如上就是测试3+4,进位为0时的输出。测试的步骤网上看看吧,各个软件不一样。

6.怎样用verilog语言写测试文件

`timescale 1ps/1ps

module sim();

reg clk,rst,in;

wire out;

initial

begin

clk <= 0;

rst <= 0;

in <= 0;

#10

rst <= 1;

end

always #25 clk <= ~clk;

always

begin

#(372162-50)

in <= 1;

#50

in <= 0;

end//373134

fill U (clk,rst,in,out);

endmodule

verilog测试程序怎么写

转载请注明出处育才学习网 » verilog测试程序怎么写

知识

用石墨电极怎么写电极反应式

阅读(260)

本文主要为您介绍用石墨电极怎么写电极反应式,内容包括用石墨电极怎么写电极反应式,用石墨电极怎么写电极反应式,石墨做电极该怎么写反应式我要方法。要看具体的情况 比如电解水之类的 你要从发生的总的化学方程式上去看 石墨一段是什么物

知识

鸭子名片怎么写

阅读(240)

本文主要为您介绍鸭子名片怎么写,内容包括鸭子这么做名片,我想制给鸭子打益苗名片上应该怎么写,鸭子这么做名片。家制脆皮鸭百详细制作步骤 1. 在鸭翅开一小孔,取出内脏,洗干净,用剪刀戳破眼球,流出黑水后再洗;2. 先将鸭在沸度水中略煮一下,捞出

知识

农家乐转让合同怎么写

阅读(308)

本文主要为您介绍农家乐转让合同怎么写,内容包括农村房屋转让合同怎么写,饭馆转让合同怎么写,饭店转让合同怎么写。农村房屋转让合同范本 卖方(以下简称甲方):____(本人)(法定代表人)姓名:_____ 国籍:____居民身份证号码:_______

知识

房屋质量鉴定申请报告怎么写

阅读(260)

本文主要为您介绍房屋质量鉴定申请报告怎么写,内容包括建筑工程质量鉴定申请书怎么写,向房屋质量鉴定局的申请书要怎样写,申请房屋安全鉴定申请报告怎么写。房屋安全鉴定专家广东省机电建筑设计研究院为您解答:申请房屋安全鉴定的申请报告书

知识

我小时候的照片怎么写曰记三年级

阅读(260)

本文主要为您介绍我小时候的照片怎么写曰记三年级,内容包括三年级作文到三年级照片的作文不少于350个字,三年级作文《一张有趣的照片》300字左右,要小时候的哦,三年级作文《一张有趣的照片》要小时候的哦。每当翻开我家厚厚的相册,一张张记

知识

感恩节倡议书怎么写

阅读(239)

本文主要为您介绍感恩节倡议书怎么写,内容包括求“感恩节倡议书”,关于感恩节的倡议书,要有详细的条理,有说服力,求“感恩节倡议书”。感恩倡议书感恩之心,感动师小我们沐浴着爱的阳光长大。 我们滋润着人间的真情成长。多少次带着幸福的感

知识

止字行书怎么写

阅读(267)

本文主要为您介绍止字行书怎么写,内容包括治字行书怎么写,王羲之书法止字怎么写,止字如何书写。“的”字行书:

知识

卖酒方案怎么写

阅读(219)

本文主要为您介绍卖酒方案怎么写,内容包括新店(卖酒)开业活动方案,我是一名卖酒的销售员要写总结干了一年多不知道怎么写,白酒合作方案怎么写。怎么让年青消费者们深刻地爱上白酒,这是所有传统白酒企业想要明白的问题。于克服未来难题以前,我们

知识

listview适配器怎么写

阅读(234)

本文主要为您介绍listview适配器怎么写,内容包括listview的适配器怎么写,listview多布局适配器咋样写,listview多布局适配器咋样写。在adapter里定义按钮数量.public View getView(int position, View conterView, V

知识

请等候的英文怎么写

阅读(227)

本文主要为您介绍请等候的英文怎么写,内容包括请在此等候:英语怎么说,『等候』的英语怎么说,等候的英语单词怎么写。“等待”用英语表达为“wait”。wait 英[weɪt] 美[wet] vt. 等待; 等候; (尤指长期地) 希望; 盼望; vi.

知识

一次有趣的事怎么写

阅读(193)

本文主要为您介绍一次有趣的事怎么写,内容包括一件有趣的事怎么写500字,怎么写一篇有趣的事的作文,习作一件有趣的事怎么写300字。一件有趣的事一想到这件事,我总会笑自己当时多么傻啊!今天,我和张宇打羽毛球时一不小心,羽毛球“飞”上了屋顶。

知识

明朝的号怎么写

阅读(190)

本文主要为您介绍明朝的号怎么写,内容包括明朝的文字怎么写,明代的“明朝”是怎么写的,明朝各个皇帝的号。姓名 庙号 谥号 年号 陵墓 朱元璋 太祖 高皇帝 洪武(1368年1398年) 孝陵 朱允炆 惠宗 让皇帝 建文(1399年1402年

知识

陈馨儿明星签名怎么写

阅读(235)

本文主要为您介绍陈馨儿明星签名怎么写,内容包括陈馨儿明星签名怎么写,马玉征明星签名怎么写,刘跃峰明星签字怎么写好看。【刘跃峰】签名可使用行书或者草书。【刘跃峰】一笔商务签写法

知识

冷冷的寂寞的英语怎么写

阅读(199)

本文主要为您介绍冷冷的寂寞的英语怎么写,内容包括寂寞,孤独,有点冷的英文怎么写,冷和孤单用英语怎么说,冷冷的英语单词怎么说。冷的英语:cold,读音:[kəʊld]。cold英 [kəʊld] 美 [koʊld] adj. 冷的;寒冷的;冷酷的;冷淡的;冷静的

知识

系统测试结果怎么写

阅读(188)

本文主要为您介绍系统测试结果怎么写,内容包括软件系统测试报告怎么写,软件系统测试报告怎么写,软件测试报告怎么写。原发布者:xiaoyanger1986XXX_VX.X测试报告作者:日期:XXX限公司版权所有目录目录21.概述42.测试时间、地点及人

知识

程序员周报怎么写

阅读(217)

本文主要为您介绍程序员周报怎么写,内容包括程序员周总结怎么写,如何看待公司要求程序员写工作日报,周报这件事,java程序员日报怎么写。以前在校很少自己做程序,对软件工程更是一无所知.来到公司,开始接触"大规模"(其实现在看来实习的项目其实还

知识

8086延迟子程序怎么写

阅读(251)

本文主要为您介绍8086延迟子程序怎么写,内容包括8086延时程序怎么编写,8086汇编怎么实现延时,跪求8086/8088系统的汇编程序延迟一秒子程序。; 本程序通过编译,运行正确Code SegmentAssume CS:Code,DS:Code; ------------------

知识

如何写测试代码怎么写

阅读(218)

本文主要为您介绍如何写测试代码怎么写,内容包括如何编写可测试的代码,怎么写Java测试代码,java中如何写测试代码。勿将对象的构建与应用逻辑混合在一起。通常在写UT时,你需要实例化程序的一部分(理想情况是只有所测类),给对象一些输入,最后对对

知识

epq性格测试报告怎么写

阅读(260)

本文主要为您介绍epq性格测试报告怎么写,内容包括艾森克人格测验报告的结果怎么写,艾森克人格测验报告的结果怎么写,如何进行个人特质测试或性格测试。实验目的:掌握艾森克人格问卷的实施、记分与结果解释。2、实验内容:(一)艾森克人格问卷的评

知识

程序使用说明怎么写

阅读(333)

本文主要为您介绍程序使用说明怎么写,内容包括程序说明怎么写求大神,软件使用说明书如何写(包含哪些内容)有没有模板的,软件使用手册怎么写。程序说明书包括如下七个内容:1.程序名称;包括反映程序功能的文字名称和标识符。2.程序所属的系统、子

知识

c程序设计报告怎么写

阅读(206)

本文主要为您介绍c程序设计报告怎么写,内容包括C语言程序设计实验报告怎么写,C语言程序设计课程设计报告怎么写啊有谁有样本吗谢啦搜狗,c语言程序设计的实验报告怎么写呀。通过本试验初步培养计算机逻辑解题能力。熟练掌握赋值语句和if语句

知识

怎么破解vb写的程序

阅读(210)

本文主要为您介绍怎么破解vb写的程序,内容包括有没有办法把VB写的程序破解出代码,破解VB程序是怎么破解的,怎么破解已经打包好的VB程序。如果那个程序没有做过加密、加壳,破解应该不太复杂。首先试试简单方法,许多对这种灰色的菜单,是在初始化

[/e:loop]